您的位置 首页 技术

quartus是什么软件

quartus是什么软件? Altera Quartus是Altera公司推出的一种可编程逻辑器件电子设计自动化开发软件。它可以识别电路的Verilog或VHDL高级硬件描述语言表…

quartus是什么软件?

Altera Quartus是Altera公司推出的一种可编程逻辑器件电子设计自动化开发软件。它可以识别电路的Verilog或VHDL高级硬件描述语言表述,或读取指定格式的线路图;进而完成逻辑仿真、功能验证、逻辑综合等任务,对器件的进行编程,即将设计项目转换到实际的硬件。该软件提供了逻辑电路的可视化设计以及向量波形的仿真等功能。

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。当前官方提供下载的最新版本是v17.0。

Altera Quartus II (3.0和更高版本)设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具。工程师使用同样的低价位工具对 Stratix FPGA进行功能验证和原型设计,又可以设计HardCopy Stratix器件用于批量成品。系统设计者现在能够用Quartus II软件评估HardCopy Stratix器件的性能和功耗,相应地进行最大吞吐量设计。

Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添 了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。

以上就是quartus是什么软件的详细内容,更多请关注24课堂在线网其它相关文章!

本文来自网络,不代表24小时课堂在线立场,转载请注明出处:https://www.24ketang.cn/29330.html

为您推荐

返回顶部